cad

Jul 20, 2023

  • Prusaslicer - Slicing application for 3D printers
  • Abc - System for sequential synthesis and verification
  • Admesh - Program for processing STL triangulated solid meshes
  • Adms - Model generator for SPICE simulators
  • Alliance - Complete set of CAD tools and libraries for VLSI design
  • Antimony - CAD from a parallel universe
  • Appcsxcad - Minimal GUI Application using the QCSXCAD library
  • Archimedes - Semiconductor device simulation software
  • Astk-client - Graphical interface for Code_Aster (client side)
  • Astk-serveur -
  • Atlc - Tool to calculate the impedance of transmission lines
  • Brickutils - Utility for building models with LEGO
  • Brlcad - CSG modelling system from the US Ballistic Research Laboratory
  • Calculix-ccx - Three-Dimensional Structural Finite Element Program
  • Calculix - Three-Dimensional Structural Finite Element Program
  • Camotics -
  • Caneda - EDA software suite focused on ease of use and portability
  • Cascade-compiler - Just-In-Time Compiler for Verilog from VMware Research
  • Cascade - Simple tool to analyze noise and distortion of a RF system
  • Chipvault - Project organizer for VHDL and Verilog RTL hardware designs
  • Csxcad - C++ library to describe geometrical objects
  • Cura-engine - Engine of slicing solution for RepRap 3D printers
  • Cura - Slicing application for 3D printers
  • Cvc - Circuit Validity Checker
  • Digital - Digital logic designer and circuit simulator
  • Dinotrace - Graphical signal trace waveform viewer
  • Ecpprog - Driver for FTDI based JTAG probes, to program ECP5 FPGAs
  • Electric-ng - Sophisticated VLSI design system
  • Electric - Sophisticated electrical CAD system
  • Fasm - FPGA assembly (FASM) parser and generator
  • Fdm_materials - FDM Material database for 3D printers
  • Feappv - Finite element analysis program (personal version)
  • Fidocadj - Easy to use graphical editor for electronics
  • Freecad - General purpose 3D CAD modeller
  • Freehdl - Free VHDL simulator
  • Fritzing - CAD for printed circuit boards
  • Gds3d - Application for rendering IC (chip) layouts in 3D
  • Gdscpp - C++ library to create and read GDSII file
  • Gdsreader - GDS2 stream file to Postscript and HP/GL converter
  • Gdt - GDS2/GDT format translator
  • Geda - GPL Electronic Design Automation tools
  • Gerbv - Gerber file viewer
  • Ghdl - GNU VHDL simulator
  • Gmsh - Automatic 3D finite element mesh generator
  • Gnucap - GNU Circuit Analysis Package
  • Gplcver - Verilog HDL simulator
  • Graywolf - Fork of TimberWolf, a placement tool in VLSI design
  • Gspiceui - GUI for ngspice and gnucap circuit simulators
  • Gtkwave - Electronic Waveform Viewer
  • Horizon-eda - EDA package for printed circuit board design
  • Hs-verismith - Verilog fuzzer
  • Ifcopenshell - Open source IFC library and geometry engine
  • Impact - Dynamic Finite Element Program Suite
  • Irsim - Event-driven logic-level simulator for MOS circuis
  • Iverilog - Verilog simulation and synthesis tool
  • Jspice3 - Adaptation of the Berkeley Spice3f4 with superconductivity
  • K40-whisperer - GUI control software for the stock K40 laser cutter controller
  • Kicad-devel -
  • Kicad-doc - KiCad Documentation and Help Files
  • Kicad-library-footprints-devel - Official KiCad Footprint Libraries for the future Kicad version 5
  • Kicad-library-footprints - Official KiCad Footprint Libraries
  • Kicad-library-packages3d-devel - Official KiCad 3D model libraries for rendering and MCAD integration
  • Kicad-library-packages3d - Official KiCad 3D model libraries for rendering and MCAD integration
  • Kicad-library-symbols-devel - Official KiCad schematic symbol libraries for the future Kicad 5 release
  • Kicad-library-symbols - Official KiCad schematic symbol libraries
  • Kicad-library-templates-devel - Official KiCad project templates
  • Kicad-library-templates - Official KiCad project templates
  • Kicad - Schematic and PCB editing software
  • Klayout - Qt-based chip mask layout viewing and editing tool
  • Ktechlab - IDE for microcontrollers and electronics
  • Ldraw - LDraw-format CAD files representing many of LEGO bricks produced
  • Ldview - LDraw model viewer
  • Leocad - CAD program for creating virtual LEGO models
  • Lepton-eda - Lepton Electronic Design Automation
  • Libgdsii - C++ library and command-line utility for reading GDSII geometry files
  • Libopencad - Library which provides a way to read/write CAD (DWG/DXF/DXFB) files
  • Librecad -
  • Libredwg - Library and command line tools to handle DWG files
  • Librepcb - Schematic and PCB editing software
  • Librnd - Flexible, modular two-dimensional CAD engine
  • Linuxcnc-devel - Open Source CNC machine controller
  • Logisim - Educational tool for designing and simulating logic circuits
  • Magic - Interactive editor for VLSI layouts
  • Meshdev - Mesh comparison software
  • Meshlab -
  • Netgen-lvs - Tool for comparing netlists (a process known as LVS)
  • Netgen - Automatic 3D tetrahedral mesh generator
  • Ngspice_rework - Mixed-signal circuit simulator derived from Spice and Cider
  • Nvc - VHDL compiler and simulator
  • Opencascade - Open CASCADE Technology, 3D modeling & numerical simulation
  • Opencascade740 - Open CASCADE Technology, 3D modeling & numerical simulation
  • Openctm - File format for compression of 3D triangle meshes
  • Openfpgaloader - Universal utility for programming FPGA
  • Openroad - ASIC physical design tool
  • Openscad-devel - Programmer's solid 3D CAD modeller
  • Openscad - Programmer's solid 3D CAD modeller
  • Opentimer - High-performance timing analysis tool for VLSI systems
  • Openvsp - Create a 3D model of an aircraft defined by engineering parameters
  • Oregano - Schematic capture and circuit simulator
  • P5-gds2 - GDS2 stream module
  • P5-verilog-perl - Building point for Verilog support in the Perl language
  • Padring - Padring generator for ASICs
  • Pcb-rnd - Flexible, modular Printed Circuit Board editor
  • Pcb - X11 interactive printed circuit board layout system
  • Pdnmesh - Mesh generator and solver for Finite Element problems
  • Py-cadquery - Python module for building parametric 3D CAD models
  • Py-cocotb - Coroutine based cosimulation library for writing VHDL and Verilog
  • Py-cq-editor - CadQuery GUI editor based on PyQT
  • Py-edalize - Library for interfacing EDA tools
  • Py-ezdxf - Create and modify DXF drawings
  • Py-gdspy - Python module for creating GDSII stream files
  • Py-gmsh - Automatic 3D finite element mesh generator (gmsh's own binding)
  • Py-lcapy - Symbolic MDA Analysis Package for Linear Circuits
  • Py-ocp - Python wrapper for OCCT generated using pywrap
  • Py-phidl - GDS-based CAD tool for photonic and superconducting circuits
  • Py-pycam - Toolpath generator for 3-axis CNC machining
  • Py-pyfda - GUI tool for designing and analysing discrete time filters
  • Py-pygmsh - Python frontend for Gmsh (on top of Gmsh's own binding)
  • Py-pymtl - Python-based hardware generation, simulation, verification framework
  • Py-vunit-hdl - Open source unit testing framework for VHDL/SystemVerilog
  • Python-gdsii - GDSII manipulation library
  • Pythoncad - Open-source CAD package built designed around Python
  • Qcad - Professional CAD system
  • Qcsxcad - Qt-GUI for CSXCAD
  • Qelectrotech - Application to design electric diagrams
  • Qflow - End-to-end digital synthesis flow for ASIC designs
  • Qmls - Quine-McCluskey Logic Simplifier
  • Qrouter - Tool to generate metal layers and vias
  • Qspeakers - Open source loudspeaker enclosure designer
  • Qucs-s - Quite Universal Circuit Simulator GUI for circuit simulation kernels
  • Qucsator - Circuit simulator of the Qucs project
  • Repsnapper - Controller and GCode generator for RepRap 3D printers
  • Rubygem-gdsii - GDSII reader and writer
  • Scotch - Package for graph and mesh partitioning and sparse matrix ordering
  • Silice - Language that simplifies prototyping and writing algorithms for FPGAs
  • Solvespace - Parametric 2d/3d CAD
  • Sp2sp - Processor of waveform data generated by SPICE-type simulators
  • Spice - General-purpose circuit simulation program
  • Stepcode - Generates C++ and Python from ISO10303 files
  • Stm32flash - Flash program for STM32 using the ST serial bootloader
  • Sumo - Traffic simulation suite for road vehicles, public transportation, etc
  • Surelog - SystemVerilog 2017 Pre-processor, Parser, Elaborator, etc
  • Svlint - SystemVerilog linter
  • Svls - SystemVerilog language server
  • Sweethome3d - Free interior 3D design application
  • Tkgate - Event driven digital circuit simulator
  • Tochnog - Free explicit/implicit Finite Element Program
  • Uhdm - Universal Hardware Data Model
  • Uranium - Python framework for 3D printing applications
  • Verilator - Synthesizable Verilog to C++ compiler
  • Verilog-mode.el - Emacs lisp modules for the Verilog language
  • Veroroute - PCB (printed circuit board) design software
  • Veryl - Veryl A modern Hardware Description Language (HDL)
  • Xcircuit - X11 circuit schematics drawing program
  • Xyce - Xyce electronic simulator
  • Yosys-systemverilog - SystemVerilog support for Yosys
  • Yosys - Yosys Open SYnthesis Suite
  • Z88 - Compact Finite Element Analysis System
  • Zcad - Simple CAD program

Checkout these related ports: